Skip to main content

Hitachi
Contact InformationContact Information

1. Semiconductor Technology Trends and Customer Needs for Manufacturing, Metrology, and Inspection Systems

Demand for semiconductors is growing as a fundamental technology supporting the digital society, including the Internet of Things (IoT), artificial intelligence (AI), fifth generation (5G), and autonomous driving as well as electronic devices such as PCs and smartphones.

Further miniaturization of cutting-edge semiconductors is proceeding with the implementation of extreme ultraviolet (EUV)*1 lithography. In the advanced logic, more complicated transistor structures and multiple-chip integration using advanced packaging technology are introduced. Also, continuous dimensional scaling of dynamic random access memory (DRAM)*2 and an increase in the number of layers and bits per cell in 3D-NAND flash memory continue to improve the memory storage density. On the other hand, sensors and power devices, while not requiring the most advanced fine processing, need to ensure higher reliability than before, driven by their increasing demand in automotive and industrial applications.

While these semiconductors’ manufacturing, metrology, and inspection systems require further improvement in productivity and utilization rates, cutting-edge semiconductors require processing accuracy and metrology and inspection accuracy for fabricating their complex structure at the sub-nanometer level. Advanced metrology and inspection systems are also required to ensure high reliability in power and sensor devices.

Hitachi is committed to providing solutions for diversifying customer needs.

(Hitachi High-Tech Corporation)

[01]Progress in cutting-edge semiconductor devices[01]Progress in cutting-edge semiconductor devices

*1
An extreme-ultraviolet light source with a wavelength of 13.5 nm.
*2
A memory element that stores information by storing an electric charge in a capacitor.

2. CR7300 High-speed Review SEM for Improved Yield for Advanced Semiconductors

[02]CR7300 high-speed review SEM[02]CR7300 high-speed review SEM

Recently, the development of 2-nm generation and below processing technology and mass production of 3-nm generation products have begun in the cutting-edge logic devices of semiconductors. As the device structure becomes miniaturized, it is required to capture minute defect at a high capture rate and analyze more defect images in a short time. In memory devices, a structure where multiple memory cells are stacked vertically (3D-NAND) has been adopted for flash memory. Therefore, there is a growing demand for high-sensitivity observation of deep trenches and hole bottoms with high aspect ratios and for measurement of electrical characteristics* during the manufacturing process.

Hitachi High-Tech Corporation has developed the CR7300 to meet these requirements. The CR7300 features high productivity through a high-speed review system that is twice as fast as conventional systems with a newly developed electron optical system and high-speed signal processing system compatible with high-speed electron beam scanning, and a function for visualizing electrical characteristics during the manufacturing process of semiconductor devices using the contrast of scanning electron microscope (SEM) images.

Hitachi will continue to provide innovative solutions in a timely manner to meet the wide range of requirements of the inspection process in semiconductor development and mass production.

(Hitachi High-Tech Corporation)

*
Tests conducted to measure the characteristics and reliability of the circuits used in semiconductors. These tests inspect, measure, and index the electrical characteristics (electrical resistance and capacitance) based on the design.

3. DI2800 Wafer Defect Inspection System for Screening Inspection of IoT/Automotive Devices

[03]DI2800 wafer defect inspection system[03]DI2800 wafer defect inspection system

Recently, with the widespread adoption of new social infrastructure technologies using semiconductors, such as 5G and electric vehicles (EVs), there is a strong demand for product reliability and safety in IoT devices and automotive devices. In the conventional semiconductor manufacturing process, sampling inspection and measurement were conducted for the purpose of process control and yield improvement, but in order to ensure reliability, inspection and measurement are now being conducted for all product chips to determine pass and fail products during the manufacturing process. Wafer defect inspection systems, in particular, need the processing capacity to inspect the entire area of each wafer with high sensitivity and high speed.

In response to this demand, Hitachi High-Tech has developed the DI2800 dark-field wafer defect inspection system. The DI2800 can capture defects on patterned wafers with high sensitivity during the manufacturing process by optimizing the optical system using scattered intensity simulation technology. This system is capable of detecting 0.1 µm standard particles on mirrored wafers. Also, by optimizing the inspection sequence, the system achieves processing performance of more than 40 wafers per hour for 200 mm wafer defect inspection.

Hitachi will continue to contribute to ensuring even higher reliability and safety by enhancing the features needed for full inspection.

(Hitachi High-Tech Corporation)

Download Adobe Reader
In order to read a PDF file, you need to have Adobe® Reader® installed in your computer.